summaryrefslogtreecommitdiff
path: root/vp8/encoder/firstpass.h
diff options
context:
space:
mode:
authorRonald S. Bultje <rbultje@google.com>2012-10-29 21:35:35 -0700
committerRonald S. Bultje <rbultje@google.com>2012-10-29 21:35:35 -0700
commit9fb4c9a47d071aa62883b426b1531ac1d77e566f (patch)
tree0647b3b2fdb3d954b09a085e7ad69c936876937f /vp8/encoder/firstpass.h
parentf0dfdfe30db4c17954cdcec13af6117cdd6d617f (diff)
downloadlibvpx-9fb4c9a47d071aa62883b426b1531ac1d77e566f.tar
libvpx-9fb4c9a47d071aa62883b426b1531ac1d77e566f.tar.gz
libvpx-9fb4c9a47d071aa62883b426b1531ac1d77e566f.tar.bz2
libvpx-9fb4c9a47d071aa62883b426b1531ac1d77e566f.zip
entropymode: put print_mode_contexts under #ifdef MODE_STATS.
This is the condition under which it is called in onyx_if.c. Also remove the unused function print_mv_ref_cts(). Change-Id: I51ea3720d46f86d136e2215e01cf9d6c7dfc41ea
Diffstat (limited to 'vp8/encoder/firstpass.h')
0 files changed, 0 insertions, 0 deletions